Starting from:
$35

$29

Project 02 Solution

IMPORTANT!




Please follow the submission guidelines below or your submission will be rejected.




You are expected to submit both a lab report and the source files to Blackboard in a single submission attempt.



The VHDL project needs to be exported from Xilinx ISE Design Suite. To export VHDL project file, please refer to Blackboard - Content - Lab - Exporting VHDL Project Files.
Naming convention:
Report: “FirstName_LastName_Project_XX_MMY.pdf”




Project: “FirstName_LastName_Project_XX_MMY.zip”




Replace “XX” and “Y” with the actual project number and section number, respectively.













In this project, students are expected to use the Xilinx ISE Design Suite (Webpack edition) 14.7 to complete the following tasks.




Please read the instructions carefully. Failing to follow the instructions would lead to significant point deductions.




Task 1: 2-to-4 Decoder (15 points)




A 2-to-4 decoder operates according to the following function table.




















































1 | P a g e




Implement a 2-to-4 decoder in VHDL using structural design: Please adopt the following as the entity declaration.














































Write a test-bench program and run simulations to validate your design: Use the given test cases below in your test-bench program. Pay attention to the signal names, signal values, and the time.




















































Requirement(s):




In your VHDL implementation, please follow the structural design method.




Deliverable(s):


























































2 | P a g e




Your report:




Use your own language to describe the function of the module to be implemented in VHDL. (2 point)



Draw a circuit diagram of the module to show the design. (2 point)



Include your VHDL entity declaration(s), architecture definition(s) and the testbench program. (2 points)



Show simulation results (e.g. the waveforms). Explain the outcome of each test case with screenshots. Show why the simulation result is correct. (5 points)



Your project file(s):

Can compile without any errors. (2 points)
Can run simulations without any errors. (2 points)



Note: no points will be given if requirements are not satisfied.













Task 2: 3-to-8 Decoder (15 points)




Implement a 3-to-8 decoder using the 2-to-4 decoder you have implemented in Task 1. The input to the 3-to-8 decoder should be labeled as A. It needs to be a 3-bit bus. The output should be labeled as O, which is an 8-bit bus. Please use the following entity declaration for the 3-to-8 decoder.































Note that: (1) please adopt the structural design approach; (2) in addition to the 2-to-4 decoders, you also need to include some logic gates to make it work.




Write a test-bench program and run simulations to validate your design. Use the given test cases below in your test-bench program. Pay attention to the signal names, signal values, and the time.




























3 | P a g e



































































Requirement(s):

In your VHDL implementation

Please follow the structural design method;
Make use of the module you implemented in Task1.



Deliverable(s):













Your report:




Use your own language to describe the function of the module to be implemented in VHDL. (2 point)



Draw a circuit diagram of the module to show the design. (2 point)



Include your VHDL entity declaration(s), architecture definition(s) and the testbench program. (2 points)



Show simulation results (e.g. the waveforms). Explain the outcome of each test case with screenshots. Show why the simulation result is correct. (5 points)



Your project file(s):




Can compile without any errors. (2 points)
Can run simulations without any errors. (2 points)



Note: no points will be given if requirements are not satisfied.




























4 | P a g e

More products